gary/lib
David Anderson b527a62ab8 lib/PinSync: switch back to Reg type, annotate required timing
I was mostly using a separate interface to be able to mark the methods
always_enabled and always_ready, but you can attach those annotations
to the module constructor instead.
2024-09-13 10:45:39 -07:00
..
ClockOut.bsv lib/ClockOut: hack module to export a clock as an ordinary signal 2024-09-07 10:06:50 -07:00
ClockOut.v lib/ClockOut: hack module to export a clock as an ordinary signal 2024-09-07 10:06:50 -07:00
DelayLine.bsv lib/DelayLine: add a bit more documentation 2024-08-20 09:15:52 -07:00
DelayLine_Test.bsv lib/DelayLine: plumb verbose test flag into tests 2024-09-09 11:20:13 -07:00
ECP5_RAM.bsv lib/ECP5_RAM: mark interfaces always_ready 2024-09-06 16:10:22 -07:00
ECP5_RAM.v lib: clean up ECP5_RAM.v a bit 2024-08-31 00:00:41 -07:00
GlitchFilter.bsv lib/GlitchFilter: a glitch filter to debounce input pins 2024-09-12 18:11:21 -07:00
GlitchFilter_Test.bsv lib/GlitchFilter: a glitch filter to debounce input pins 2024-09-12 18:11:21 -07:00
PinSync.bsv lib/PinSync: switch back to Reg type, annotate required timing 2024-09-13 10:45:39 -07:00
PinSync_Test.bsv lib/PinSync: switch back to Reg type, annotate required timing 2024-09-13 10:45:39 -07:00
Strobe.bsv lib/Strobe: add a Strobe module to generate synchronization pulses 2024-09-09 13:10:50 -07:00
Strobe_Test.bsv lib/Strobe: add a Strobe module to generate synchronization pulses 2024-09-09 13:10:50 -07:00
Testing.bsv lib/Testing: add helper module to access test flags 2024-09-09 11:15:51 -07:00
ulx3s_v20.lpf tasks: support running nextpnr with a generic ulx3s pin map 2024-09-06 16:11:03 -07:00