vram: compact the test output even more

This commit is contained in:
David Anderson 2024-08-31 13:25:04 -07:00
parent 71f422b8c1
commit f010693b9b
1 changed files with 11 additions and 7 deletions

View File

@ -271,13 +271,17 @@ module mkTB();
let got = pack(reverse(gotVec)); let got = pack(reverse(gotVec));
let want = pack(reverse(test.want)); let want = pack(reverse(test.want));
$display("\n # %0d: %s", idx+1, tests[idx].name); $display("RUN %s (%0d)", test.name, idx+1);
if (got != want) begin
$display(" input: ", $display(" input: ",
"0:", rw_str(test.cpu), " 1:", rw_str(test.debugger), " 2:", ro_str(test.palette), "0:", rw_str(test.cpu), " 1:", rw_str(test.debugger), " 2:", ro_str(test.palette),
" 3:", ro_str(test.tile1), " 4:", ro_str(test.tile2), " 5:", ro_str(test.sprite)); " 3:", ro_str(test.tile1), " 4:", ro_str(test.tile2), " 5:", ro_str(test.sprite));
$display(" got : %03b %03b", got[5:3], got[2:0]); $display(" got : %03b %03b", got[5:3], got[2:0]);
$display(" want : %03b %03b", want[5:3], want[2:0]); $display(" want : %03b %03b", want[5:3], want[2:0]);
dynamicAssert(got == want, "wrong arbiter output"); dynamicAssert(got == want, "wrong arbiter output");
end
else
$display("OK %s", test.name);
endrule endrule
(* no_implicit_conditions, fire_when_enabled *) (* no_implicit_conditions, fire_when_enabled *)