diff --git a/vram/MemoryArbiter_Test.bsv b/vram/MemoryArbiter_Test.bsv index 47208d1..ae303e6 100644 --- a/vram/MemoryArbiter_Test.bsv +++ b/vram/MemoryArbiter_Test.bsv @@ -271,13 +271,17 @@ module mkTB(); let got = pack(reverse(gotVec)); let want = pack(reverse(test.want)); - $display("\n # %0d: %s", idx+1, tests[idx].name); - $display(" input: ", - "0:", rw_str(test.cpu), " 1:", rw_str(test.debugger), " 2:", ro_str(test.palette), - " 3:", ro_str(test.tile1), " 4:", ro_str(test.tile2), " 5:", ro_str(test.sprite)); - $display(" got : %03b %03b", got[5:3], got[2:0]); - $display(" want: %03b %03b", want[5:3], want[2:0]); - dynamicAssert(got == want, "wrong arbiter output"); + $display("RUN %s (%0d)", test.name, idx+1); + if (got != want) begin + $display(" input: ", + "0:", rw_str(test.cpu), " 1:", rw_str(test.debugger), " 2:", ro_str(test.palette), + " 3:", ro_str(test.tile1), " 4:", ro_str(test.tile2), " 5:", ro_str(test.sprite)); + $display(" got : %03b %03b", got[5:3], got[2:0]); + $display(" want : %03b %03b", want[5:3], want[2:0]); + dynamicAssert(got == want, "wrong arbiter output"); + end + else + $display("OK %s", test.name); endrule (* no_implicit_conditions, fire_when_enabled *)