hardware/sentinel65x: comment out logic

I'm not quite ready to define top-levels yet, and having this incomplete
code here makes 'inv build .' fail.
This commit is contained in:
David Anderson 2024-09-08 15:15:32 -07:00
parent e5aabcbf4a
commit 913c407224
1 changed files with 44 additions and 44 deletions

View File

@ -1,57 +1,57 @@
package Top; package Top;
import Connectable::*; // import Connectable::*;
import TriState::*; // import TriState::*;
import ClockOut::*; // import ClockOut::*;
import PLL::*; // import PLL::*;
interface SystemBus; // interface SystemBus;
(* always_enabled,prefix="" *) // (* always_enabled,prefix="" *)
method Action addr(UInt#(24) addr); // method Action addr(UInt#(24) addr);
(* always_enabled,prefix="" *) // (* always_enabled,prefix="" *)
method Action phi2(bit phi2); // method Action phi2(bit phi2);
(* always_enabled,prefix="" *) // (* always_enabled,prefix="" *)
method Action write(bit we); // method Action write(bit we);
endinterface // endinterface
module mkSystemBus(SystemBus); // module mkSystemBus(SystemBus);
endmodule // endmodule
interface Top; // interface Top;
(* always_ready *) // (* always_ready *)
method bit clkout(); // method bit clkout();
interface SystemBus cpu; // interface SystemBus cpu;
endinterface // endinterface
(* synthesize,no_default_clock,no_default_reset,default_gate_unused *) // (* synthesize,no_default_clock,no_default_reset,default_gate_unused *)
module mkTop(Clock clk_ref, // module mkTop(Clock clk_ref,
(* clocked_by="no_clock" *) Reset rst, // (* clocked_by="no_clock" *) Reset rst,
(* clocked_by="no_clock" *) Inout#(Bit#(8)) data, // (* clocked_by="no_clock" *) Inout#(Bit#(8)) data,
Top ifc); // Top ifc);
let pll <- mkPLL(clk_ref); // let pll <- mkPLL(clk_ref);
let cpu_clk <- mkClockOut(clocked_by(pll.cpu_clk), reset_by(rst)); // let cpu_clk <- mkClockOut(clocked_by(pll.cpu_clk), reset_by(rst));
Reg#(Bool) data_out_en <- mkReg(False, clocked_by(pll.cpu_clk), reset_by(rst)); // Reg#(Bool) data_out_en <- mkReg(False, clocked_by(pll.cpu_clk), reset_by(rst));
Reg#(Bit#(8)) data_out <- mkReg(0, clocked_by(pll.cpu_clk), reset_by(rst)); // Reg#(Bit#(8)) data_out <- mkReg(0, clocked_by(pll.cpu_clk), reset_by(rst));
TriState#(Bit#(8)) data_in <- mkTriState(data_out_en, data_out, clocked_by(pll.cpu_clk), reset_by(rst)); // TriState#(Bit#(8)) data_in <- mkTriState(data_out_en, data_out, clocked_by(pll.cpu_clk), reset_by(rst));
mkConnection(data, data_in.io); // mkConnection(data, data_in.io);
interface SystemBus cpu; // interface SystemBus cpu;
method clkout = cpu_clk.value; // method clkout = cpu_clk.value;
method Action addr(a); // method Action addr(a);
noAction; // noAction;
endmethod // endmethod
method Action phi2(v); // method Action phi2(v);
noAction; // noAction;
endmethod // endmethod
method Action write(bit we); // method Action write(bit we);
noAction; // noAction;
endmethod // endmethod
//interface data = data_in.io; // //interface data = data_in.io;
endinterface // endinterface
endmodule // endmodule
endpackage endpackage