gary/lib/ClockOut.v

4 lines
78 B
Verilog

module ClockOut(input CLK, output CLK_BIT);
assign CLK_BIT = CLK;
endmodule