gary/experiments/vram/Top.bsv

14 lines
186 B
Plaintext

package Top;
import VRAMCore::*;
import ECP5_RAM::*;
import TriState::*;
(* synthesize *)
module mkTop(VRAMCore);
let _ret <- mkVRAMCore(112);
return _ret;
endmodule
endpackage