module ClockOut(input CLK, output CLK_BIT); assign CLK_BIT = CLK; endmodule