package Top; import VRAM::*; import ECP5_RAM::*; import TriState::*; (* synthesize *) module mkTop(VRAM); let _ret <- mkVRAM(112); return _ret; endmodule endpackage