diff --git a/lib/ECP5_RAM.bsv b/lib/ECP5_RAM.bsv index def3a66..7feba56 100644 --- a/lib/ECP5_RAM.bsv +++ b/lib/ECP5_RAM.bsv @@ -457,7 +457,10 @@ module mkEBRCore#(EBRPortConfig cfgA, if (!rcfgA.enabled) noAction; else - vEBR.portA.put(chip_select, write, zeroExtend(pack(address)), zeroExtend(pack(datain))); + vEBR.portA.put(chip_select, + write, + zeroExtend(pack(address)) << valueOf(addr_a_pad), + zeroExtend(pack(datain))); endmethod method data_a read(); if (!rcfgA.enabled) @@ -472,7 +475,10 @@ module mkEBRCore#(EBRPortConfig cfgA, if (!rcfgB.enabled) noAction; else - vEBR.portB.put(chip_select, write, zeroExtend(pack(address)), zeroExtend(pack(datain))); + vEBR.portB.put(chip_select, + write, + zeroExtend(pack(address)) << valueOf(addr_b_pad), + zeroExtend(pack(datain))); endmethod method data_b read(); if (!rcfgB.enabled)