diff --git a/vram/VRAMCore.bsv b/vram/VRAMCore.bsv index b704c95..3b9db94 100644 --- a/vram/VRAMCore.bsv +++ b/vram/VRAMCore.bsv @@ -28,11 +28,11 @@ typedef EBR#(ByteAddr, VRAMData, ByteAddr, VRAMData) ByteRAM; typedef struct { VRAMAddr addr; Maybe#(VRAMData) data; -} VRAMRequest deriving (Bits, Eq); +} VRAMRequest deriving (Bits, Eq, FShow); typedef struct { VRAMData data; -} VRAMResponse deriving (Bits, Eq); +} VRAMResponse deriving (Bits, Eq, FShow); module mkNibbleRAM_ECP5(ChipAddr chip_addr, EBR#(ByteAddr, Bit#(4), ByteAddr, Bit#(4)) ifc); EBRPortConfig cfg = defaultValue;