diff --git a/vram/MemoryArbiter_Test.bsv b/vram/MemoryArbiter_Test.bsv index ae303e6..ef3446f 100644 --- a/vram/MemoryArbiter_Test.bsv +++ b/vram/MemoryArbiter_Test.bsv @@ -295,31 +295,6 @@ module mkTB(); else idx <= next; endrule - - // function Stmt testMemoryArbiter(); - // return seq - // testCase(idle, idle, idle, idle, idle, idle, - // grant(-1, -1)); - // - // // Simple single-client accesses - // testCase(readA(1), idle, idle, idle, idle, idle, - // grant(0, -1)); - // testCase(writeA(1), idle, idle, idle, idle, idle, - // grant(0, -1)); - // testCase(idle, readA(1), idle, idle, idle, idle, - // grant(1, -1)); - // testCase(idle, writeA(1), idle, idle, idle, idle, - // grant(1, -1)); - // testCase(idle, idle, read(1), idle, idle, idle, - // grant(2, -1)); - // testCase(idle, idle, idle, read(1), idle, idle, - // grant(-1, 0)); - // testCase(idle, idle, idle, idle, read(1), idle, - // grant(-1, 1)); - // testCase(idle, idle, idle, idle, idle, read(1), - // grant(-1, 2)); - // endseq; - // endfunction endmodule endpackage