diff --git a/vram/VRAM_Test.bsv b/vram/VRAM_Test.bsv index 5164d86..2f8a031 100644 --- a/vram/VRAM_Test.bsv +++ b/vram/VRAM_Test.bsv @@ -105,6 +105,7 @@ module mkTestFull(FSM); let fsm <- mkFSM(seq dut.cpu.request.put(VRAMRequest{addr: 1, data: tagged Valid 42}); + dut.cpu.request.put(VRAMRequest{addr: 2, data: tagged Valid 45}); dut.cpu.request.put(VRAMRequest{addr: 1, data: tagged Invalid}); action let resp <- dut.cpu.response.get(); @@ -112,6 +113,13 @@ module mkTestFull(FSM); $display("vram read: ", fshow(resp)); dynamicAssert(resp.data == 42, "wrong data read after writing"); endaction + dut.cpu.request.put(VRAMRequest{addr: 2, data: tagged Invalid}); + action + let resp <- dut.cpu.response.get(); + if (testflags.verbose) + $display("vram read: ", fshow(resp)); + dynamicAssert(resp.data == 45, "wrong data read after writing"); + endaction endseq); return fsm; endmodule