From 719339e69f91246298e6b4310efc17cacbf11c88 Mon Sep 17 00:00:00 2001 From: David Anderson Date: Mon, 9 Sep 2024 11:22:05 -0700 Subject: [PATCH] vram/MemArbiter: plumb verbose test flag into test --- vram/MemArbiter_Test.bsv | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/vram/MemArbiter_Test.bsv b/vram/MemArbiter_Test.bsv index fca0888..8bb0744 100644 --- a/vram/MemArbiter_Test.bsv +++ b/vram/MemArbiter_Test.bsv @@ -71,6 +71,7 @@ interface TB; endinterface module mkArbiterTB(MemArbiter#(n, Addr) dut, Vector#(m, TestCase#(n)) tests, TB ifc); + let testflags <- mkTestFlags(); let cycles <- mkCycleCounter(); Reg#(Bit#(TLog#(m))) idx <- mkReg(0); @@ -113,7 +114,8 @@ module mkArbiterTB(MemArbiter#(n, Addr) dut, Vector#(m, TestCase#(n)) tests, TB got_grants[i] = dut.ports[i].grant(); $display("RUN %s (%0d)", tests[idx].name, idx); - if (got_grants != want_grants || got_conflict_out != want_conflict_out) begin + let err = (got_grants != want_grants || got_conflict_out != want_conflict_out); + if (err || testflags.verbose) begin $display("input:"); for (Integer i=0; i