diff --git a/vram/MemArbiter_Test.bsv b/vram/MemArbiter_Test.bsv index fca0888..8bb0744 100644 --- a/vram/MemArbiter_Test.bsv +++ b/vram/MemArbiter_Test.bsv @@ -71,6 +71,7 @@ interface TB; endinterface module mkArbiterTB(MemArbiter#(n, Addr) dut, Vector#(m, TestCase#(n)) tests, TB ifc); + let testflags <- mkTestFlags(); let cycles <- mkCycleCounter(); Reg#(Bit#(TLog#(m))) idx <- mkReg(0); @@ -113,7 +114,8 @@ module mkArbiterTB(MemArbiter#(n, Addr) dut, Vector#(m, TestCase#(n)) tests, TB got_grants[i] = dut.ports[i].grant(); $display("RUN %s (%0d)", tests[idx].name, idx); - if (got_grants != want_grants || got_conflict_out != want_conflict_out) begin + let err = (got_grants != want_grants || got_conflict_out != want_conflict_out); + if (err || testflags.verbose) begin $display("input:"); for (Integer i=0; i