vram: adjust the MemoryArbiterClient interface a little

This commit is contained in:
David Anderson 2024-09-08 09:26:59 -07:00
parent 81e5264d22
commit 69b7ce7f9e
1 changed files with 3 additions and 3 deletions

View File

@ -19,14 +19,14 @@ endinterface
// A MemArbiterClient emits requests for memory access and emits // A MemArbiterClient emits requests for memory access and emits
// grants. // grants.
interface MemArbiterClient#(type request); interface MemArbiterClient#(type request);
method request request(); method Maybe#(request) request();
method Action grant(); method Action grant();
endinterface endinterface
instance Connectable#(MemArbiterClient#(req), MemArbiterServer#(req)); instance Connectable#(MemArbiterClient#(req), MemArbiterServer#(req));
module mkConnection(MemArbiterClient#(req) client, MemArbiterServer#(req) server, Empty ifc); module mkConnection(MemArbiterClient#(req) client, MemArbiterServer#(req) server, Empty ifc);
rule send_request; rule send_request (client.request matches tagged Valid .req);
server.request(client.request()); server.request(req);
endrule endrule
rule send_grant (server.grant()); rule send_grant (server.grant());