diff --git a/lib/ECP5_RAM.bsv b/lib/ECP5_RAM.bsv index c53a9d2..def3a66 100644 --- a/lib/ECP5_RAM.bsv +++ b/lib/ECP5_RAM.bsv @@ -237,6 +237,7 @@ endfunction // // EBRPort is a port of an EBR memory. +(* always_ready *) interface EBRPort#(type addr, type data); method Action put(UInt#(3) chip_select, Bool write, addr address, data datain); method data read();