lib/DelayLine: add a bit more documentation

This commit is contained in:
David Anderson 2024-08-13 20:53:47 -07:00
parent 2a8689564c
commit 1ccd1b0072
1 changed files with 5 additions and 0 deletions

View File

@ -77,6 +77,11 @@ module mkDelayLine(Integer delay_cycles, DelayLine#(a) ifc)
delay = cons(r, delay); delay = cons(r, delay);
end end
// pump_line ingests a new value into the first register in the
// line, and shifts older values one register down. The
// registers always shift forward even when nobody's writing, in
// which case that slot in the pipeline is occupied by an empty
// Maybe.
(* no_implicit_conditions, fire_when_enabled *) (* no_implicit_conditions, fire_when_enabled *)
rule pump_line; rule pump_line;
delay[0] <= inputVal.wget(); delay[0] <= inputVal.wget();