Update rollup

This commit is contained in:
Kyle J Cardoza 2024-06-11 22:49:11 -04:00
parent cd7d738128
commit 27fbadbcba
140 changed files with 538185 additions and 6621 deletions

View File

@ -0,0 +1,29 @@
GAL22V10
-------\___/-------
PHI2 | 1 24 | VCC
| |
RW | 2 23 | /WR
| |
/CS4 | 3 22 | /RD
| |
/CS5 | 4 21 | /ROM0
| |
/CS6 | 5 20 | /ROM1
| |
A19 | 6 19 | /RAM0
| |
A20 | 7 18 | /RAM1
| |
A21 | 8 17 | NC
| |
A22 | 9 16 | NC
| |
A23 | 10 15 | NC
| |
/CART | 11 14 | NC
| |
GND | 12 13 | NC
-------------------

View File

@ -0,0 +1,158 @@
AR
0 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
Pin 23 = /WR S0 = 0 S1 = 1
1 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ----
2 x--- -x-- ---- ---- ---- ---- ---- ---- ---- ---- ----
3 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
4 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
5 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
6 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
7 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
8 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
9 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
Pin 22 = /RD S0 = 0 S1 = 1
10 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ----
11 x--- x--- ---- ---- ---- ---- ---- ---- ---- ---- ----
12 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
13 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
14 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
15 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
16 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
17 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
18 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
19 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
20 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
Pin 21 = /ROM0 S0 = 0 S1 = 1
21 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ----
22 ---- ---x -x-- ---- ---- ---- ---- ---- ---- ---- ----
23 ---- ---- ---- ---- ---- -x-- -x-- -x-- x--- x--- ----
24 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
25 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
26 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
27 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
28 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
29 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
30 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
31 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
32 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
33 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
Pin 20 = /ROM1 S0 = 0 S1 = 0
34 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
35 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
36 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
37 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
38 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
39 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
40 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
41 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
42 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
43 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
44 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
45 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
46 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
47 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
48 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
Pin 19 = /RAM0 S0 = 0 S1 = 1
49 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ----
50 ---- ---- ---- -x-- ---- -x-- -x-- -x-- -x-- -x-- ----
51 ---x ---- -x-- ---- ---- ---- ---- ---- ---- ---- ----
52 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
53 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
54 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
55 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
56 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
57 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
58 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
59 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
60 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
61 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
62 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
63 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
64 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
65 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
Pin 18 = /RAM1 S0 = 0 S1 = 1
66 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ----
67 ---- ---- ---- -x-- ---- x--- ---- ---- ---- ---- ----
68 ---- ---- ---- -x-- ---- ---- x--- ---- ---- ---- ----
69 ---- ---- ---- -x-- ---- ---- ---- x--- ---- ---- ----
70 ---- ---- ---- -x-- ---- ---- ---- ---- x--- ---- ----
71 ---- ---- ---- -x-- ---- ---- ---- ---- ---- x--- ----
72 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
73 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
74 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
75 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
76 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
77 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
78 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
79 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
80 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
81 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
82 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
Pin 17 = NC S0 = 0 S1 = 0
83 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
84 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
85 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
86 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
87 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
88 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
89 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
90 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
91 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
92 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
93 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
94 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
95 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
96 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
97 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
Pin 16 = NC S0 = 0 S1 = 0
98 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
99 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
100 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
101 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
102 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
103 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
104 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
105 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
106 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
107 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
108 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
109 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
110 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
Pin 15 = NC S0 = 0 S1 = 0
111 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
112 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
113 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
114 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
115 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
116 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
117 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
118 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
119 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
120 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
121 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
Pin 14 = NC S0 = 0 S1 = 0
122 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
123 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
124 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
125 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
126 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
127 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
128 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
129 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
130 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx
SP
131 xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx

View File

@ -0,0 +1,28 @@

GAL-Assembler: Galette 0.3.0
Device: GAL22V10
*F0
*G0
*QF5892
*L0044 11111111111111111111111111111111111111111111
*L0088 01111011111111111111111111111111111111111111
*L0440 11111111111111111111111111111111111111111111
*L0484 01110111111111111111111111111111111111111111
*L0924 11111111111111111111111111111111111111111111
*L0968 11111110101111111111111111111111111111111111
*L1012 11111111111111111111101110111011011101111111
*L2156 11111111111111111111111111111111111111111111
*L2200 11111111111110111111101110111011101110111111
*L2244 11101111101111111111111111111111111111111111
*L2904 11111111111111111111111111111111111111111111
*L2948 11111111111110111111011111111111111111111111
*L2992 11111111111110111111111101111111111111111111
*L3036 11111111111110111111111111110111111111111111
*L3080 11111111111110111111111111111111011111111111
*L3124 11111111111110111111111111111111111101111111
*L5808 01010100010100000000
*L5828 0101001101000101010011100101010001001001010011100100010101001100
*C58a1
*
c39a

View File

@ -0,0 +1,29 @@
Pin # | Name | Pin Type
-----------------------------
1 | PHI2 | Clock/Input
2 | RW | Input
3 | /CS4 | Input
4 | /CS5 | Input
5 | /CS6 | Input
6 | A19 | Input
7 | A20 | Input
8 | A21 | Input
9 | A22 | Input
10 | A23 | Input
11 | /CART | Input
12 | GND | GND
13 | NC | Input
14 | NC | NC
15 | NC | NC
16 | NC | NC
17 | NC | NC
18 | /RAM1 | Output
19 | /RAM0 | Output
20 | /ROM1 | NC
21 | /ROM0 | Output
22 | /RD | Output
23 | /WR | Output
24 | VCC | VCC

View File

@ -0,0 +1,26 @@
GAL22V10
SENTINEL
PHI2 RW /CS4 /CS5 /CS6 A19 A20 A21 A22 A23 /CART GND
NC NC NC NC NC /RAM1 /RAM0 /ROM1 /ROM0 /RD /WR VCC
WR = PHI2 * /RW
RD = PHI2 * RW
RAM0 = CS5 * /A19 * /A20 * /A21 * /A22 * /A23 +
WR * CS4
RAM1 = CS5 * A19 +
CS5 * A20 +
CS5 * A21 +
CS5 * A22 +
CS5 * A23
ROM0 = RD * CS4 +
/A19 * /A20 * /A21 * A22 * A23
DESCRIPTION
PLD Logic for the Sentinel 65X PLD

File diff suppressed because it is too large Load Diff

View File

@ -1,7 +1,7 @@
{
"board": {
"active_layer": 2,
"active_layer_preset": "",
"active_layer": 37,
"active_layer_preset": "All Layers",
"auto_track_width": true,
"hidden_netclasses": [],
"hidden_nets": [],
@ -19,7 +19,7 @@
"footprints": true,
"graphics": true,
"keepouts": true,
"lockedItems": false,
"lockedItems": true,
"otherItems": true,
"pads": true,
"text": true,
@ -64,7 +64,7 @@
39,
40
],
"visible_layers": "8205fff_ffffffff",
"visible_layers": "fffffff_ffffffff",
"zone_display_mode": 1
},
"git": {

View File

@ -38,8 +38,8 @@
"other_text_upright": false,
"pads": {
"drill": 3.5,
"height": 5.5,
"width": 10.0
"height": 3.5,
"width": 3.5
},
"silk_line_width": 0.15,
"silk_text_italic": false,
@ -275,9 +275,7 @@
"equivalence_files": []
},
"erc": {
"erc_exclusions": [
"pin_to_pin|3771900|2184400|490ff4a9-8d8a-4e52-80ca-36505e12ad53|8afb6c2c-94c6-4a44-b447-1b7bcbd63c9e|/24268fb5-9051-453e-aa4f-3f063d8cbf81|/24268fb5-9051-453e-aa4f-3f063d8cbf81|/24268fb5-9051-453e-aa4f-3f063d8cbf81"
],
"erc_exclusions": [],
"meta": {
"version": 0
},

File diff suppressed because it is too large Load Diff

Binary file not shown.

Binary file not shown.

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1,4 +1,4 @@
23341229817214155
193542909
Audio_Module
Reverb_BTDR-1H
Digital Reverberation Unit, http://www.belton.co.kr/inc/downfile.php?seq=17&file=pdf (footprint from http://www.uk-electronic.de/PDF/BTDR-1.pdf)
@ -49223,6 +49223,69 @@ Mini USB 2.0 Type B
0
9
6
Connector_Video
DVI-D_Molex_74320-4004_Horizontal
DVI-D Dual Link connector, horizontal, 24 pins, Molex P/N 74320-4004, https://www.molex.com/content/dam/molex/molex-dot-com/products/automated/en-us/salesdrawingpdf/743/74320/743204004_sd.pdf?inline
dvi right angle
0
26
25
Connector_Video
DVI-I_Molex_74320-1004_Horizontal
DVI-I Dual Link connector, horizontal, 29 pins, Molex P/N 74320-1004, https://www.molex.com/content/dam/molex/molex-dot-com/products/automated/en-us/salesdrawingpdf/743/74320/743201004_sd.pdf?inline
dvi right angle
0
32
30
Connector_Video
HDMI_A_Amphenol_10029449-x01xLF_Horizontal
HDMI Type A connector, Amphenol 10029449-001TLF 10029449-001RLF 10029449-101TLF 10029449-101RLF, Right Angle(https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10029449.pdf)
hdmi right angle
0
23
20
Connector_Video
HDMI_A_Contact_Technology_HDMI-19APL2_Horizontal
HDMI Contact Technology Type A http://www.contactswitch.com/en/download.aspx?id=1449
HDMI Contact Technology Type A
0
23
20
Connector_Video
HDMI_A_Kycon_KDMIX-SL1-NS-WS-B15_VerticalRightAngle
HDMI, Type A, Kycon KDMIX-SL1-NS-WS-B15, Vertical Right Angle, http://www.kycon.com/Pub_Eng_Draw/KDMIX-SL1-NS-WS-B15.pdf
hdmi type a
0
23
20
Connector_Video
HDMI_A_Molex_208658-1001_Horizontal
HDMI Molex Type A https://www.molex.com/pdm_docs/sd/2086581001_sd.pdf
HDMI Molex Type A
0
23
20
Connector_Video
HDMI_Micro-D_Molex_46765-0x01
HDMI, Micro, Type D, SMD, 0.4mm pitch, 19 ckt, right angle (http://www.molex.com/pdm_docs/sd/467651301_sd.pdf)
hdmi micro type d right angle smd
0
23
20
Connector_Video
HDMI_Micro-D_Molex_46765-1x01
HDMI, Micro, Type D, THT, 0.4mm pitch, 19 ckt, right angle (http://www.molex.com/pdm_docs/sd/467651301_sd.pdf)
hdmi micro type d right angle tht
0
23
20
Connector_Video
HDMI_Micro-D_Molex_46765-2x0x
HDMI, Micro, Type D, THT/SMD hybrid, 0.4mm pitch, 19 ckt, right angle (http://www.molex.com/pdm_docs/sd/467651301_sd.pdf)
hdmi micro type d right angle tht smd hybrid
0
23
20
Connector_Wago
Wago_734-132_1x02_P3.50mm_Vertical
Molex 734 Male header (for PCBs); Straight solder pin 1 x 1 mm, 734-132 , 2 Pins (http://www.farnell.com/datasheets/2157639.pdf), generated with kicad-footprint-generator
@ -88210,41 +88273,6 @@ Socket
Wells_648-0482211SA01
https://www.farnell.com/cad/316865.pdf?_ga=2.37208032.177107060.1530611323-249019997.1498114824
48pin TSOP Socket
0
48
48
Studio 8502
DIP-32_W15.24mm_Socket_LongPads+TSOPII-32
0
64
32
Studio 8502
DIPP-40 (Male)
0
40
40
Studio 8502
DIPP-48 (Female - Horizontal)
0
48
48
Studio 8502
DIPP-48 (Female)
0
48
48
Studio 8502
DIPP-48 (Male)
0
48
48

View File

@ -1 +0,0 @@
{"hostname":"Kyles-MacBook-Pro","username":"kylecardoza"}

View File

@ -0,0 +1 @@
{"hostname":"T7810","username":"kyle"}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,83 @@
{
"board": {
"active_layer": 31,
"active_layer_preset": "",
"auto_track_width": true,
"hidden_netclasses": [],
"hidden_nets": [],
"high_contrast_mode": 0,
"net_color_mode": 1,
"opacity": {
"images": 0.6,
"pads": 1.0,
"tracks": 1.0,
"vias": 1.0,
"zones": 0.6
},
"selection_filter": {
"dimensions": true,
"footprints": true,
"graphics": true,
"keepouts": true,
"lockedItems": true,
"otherItems": true,
"pads": true,
"text": true,
"tracks": true,
"vias": true,
"zones": true
},
"visible_items": [
0,
1,
2,
3,
4,
5,
8,
9,
10,
11,
12,
13,
15,
16,
17,
18,
19,
20,
21,
22,
23,
24,
25,
26,
27,
28,
29,
30,
32,
33,
34,
35,
36,
39,
40
],
"visible_layers": "ffc1f30_ffffffff",
"zone_display_mode": 1
},
"git": {
"repo_password": "",
"repo_type": "",
"repo_username": "",
"ssh_key": ""
},
"meta": {
"filename": "Prototype 4 (PTH) PSU Errata Board.kicad_prl",
"version": 3
},
"project": {
"files": []
}
}

View File

@ -0,0 +1,600 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"apply_defaults_to_fp_fields": false,
"apply_defaults_to_fp_shapes": false,
"apply_defaults_to_fp_text": false,
"board_outline_line_width": 0.05,
"copper_line_width": 0.2,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.05,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.1,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.1,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.762,
"height": 1.524,
"width": 1.524
},
"silk_line_width": 0.1,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.1,
"silk_text_upright": false,
"zones": {
"min_clearance": 0.5
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [],
"meta": {
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"connection_width": "warning",
"copper_edge_clearance": "error",
"copper_sliver": "warning",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint": "error",
"footprint_symbol_mismatch": "warning",
"footprint_type_mismatch": "ignore",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"isolated_copper": "warning",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"lib_footprint_issues": "warning",
"lib_footprint_mismatch": "warning",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "warning",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_edge_clearance": "warning",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"solder_mask_bridge": "error",
"starved_thermal": "error",
"text_height": "warning",
"text_thickness": "warning",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zones_intersect": "error"
},
"rules": {
"max_error": 0.005,
"min_clearance": 0.0,
"min_connection": 0.0,
"min_copper_edge_clearance": 0.5,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.2,
"min_microvia_drill": 0.1,
"min_resolved_spokes": 2,
"min_silk_clearance": 0.0,
"min_text_height": 0.8,
"min_text_thickness": 0.08,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.0,
"min_via_annular_width": 0.1,
"min_via_diameter": 0.5,
"solder_mask_to_copper_clearance": 0.0,
"use_height_for_length_calcs": true
},
"teardrop_options": [
{
"td_onpadsmd": true,
"td_onroundshapesonly": false,
"td_ontrackend": false,
"td_onviapad": true
}
],
"teardrop_parameters": [
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_on_pad_in_zone": false,
"td_target_name": "td_round_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_on_pad_in_zone": false,
"td_target_name": "td_rect_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_on_pad_in_zone": false,
"td_target_name": "td_track_end",
"td_width_to_size_filter_ratio": 0.9
}
],
"track_widths": [
0.0,
0.25,
0.5,
0.75,
1.0,
1.25
],
"tuning_pattern_settings": {
"diff_pair_defaults": {
"corner_radius_percentage": 80,
"corner_style": 1,
"max_amplitude": 1.0,
"min_amplitude": 0.2,
"single_sided": false,
"spacing": 1.0
},
"diff_pair_skew_defaults": {
"corner_radius_percentage": 80,
"corner_style": 1,
"max_amplitude": 1.0,
"min_amplitude": 0.2,
"single_sided": false,
"spacing": 0.6
},
"single_track_defaults": {
"corner_radius_percentage": 80,
"corner_style": 1,
"max_amplitude": 1.0,
"min_amplitude": 0.2,
"single_sided": false,
"spacing": 0.6
}
},
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
}
],
"zones_allow_external_fillets": false
},
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "Prototype 4 (PTH) PSU Errata Board.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"7e9c8814-8d00-4738-959e-31184d7dd0de",
"Root"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,9 @@
EESchema-DOCLIB Version 2.0
#
$CMP 345-064-520-202
D Card Edge Connector 0.100" (2.54mm) Pitch, 64 positions
K
F https://datasheet.datasheetarchive.com/originals/distributors/Datasheets-DGA19/7640.pdf
$ENDCMP
#
#End Doc Library

View File

@ -0,0 +1,92 @@
(module "345-064-520-202" (layer F.Cu)
(descr "345-064-520-202")
(tags "Connector")
(fp_text reference J** (at -0.314 0.104) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text user %R (at -0.314 0.104) (layer F.Fab)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text value "345-064-520-202" (at -0.314 0.104) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_line (start -51.245 -4.7) (end 51.245 -4.7) (layer F.Fab) (width 0.2))
(fp_line (start 51.245 -4.7) (end 51.245 4.7) (layer F.Fab) (width 0.2))
(fp_line (start 51.245 4.7) (end -51.245 4.7) (layer F.Fab) (width 0.2))
(fp_line (start -51.245 4.7) (end -51.245 -4.7) (layer F.Fab) (width 0.2))
(fp_line (start -51.245 4.7) (end -51.245 -4.7) (layer F.SilkS) (width 0.2))
(fp_line (start -51.245 -4.7) (end 51.245 -4.7) (layer F.SilkS) (width 0.2))
(fp_line (start 51.245 -4.7) (end 51.245 4.7) (layer F.SilkS) (width 0.2))
(fp_line (start 51.245 4.7) (end -51.245 4.7) (layer F.SilkS) (width 0.2))
(pad 1 thru_hole circle (at -39.37 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 2 thru_hole circle (at -39.37 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 3 thru_hole circle (at -36.83 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 4 thru_hole circle (at -36.83 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 5 thru_hole circle (at -34.29 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 6 thru_hole circle (at -34.29 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 7 thru_hole circle (at -31.75 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 8 thru_hole circle (at -31.75 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 9 thru_hole circle (at -29.21 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 10 thru_hole circle (at -29.21 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 11 thru_hole circle (at -26.67 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 12 thru_hole circle (at -26.67 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 13 thru_hole circle (at -24.13 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 14 thru_hole circle (at -24.13 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 15 thru_hole circle (at -21.59 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 16 thru_hole circle (at -21.59 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 17 thru_hole circle (at -19.05 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 18 thru_hole circle (at -19.05 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 19 thru_hole circle (at -16.51 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 20 thru_hole circle (at -16.51 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 21 thru_hole circle (at -13.97 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 22 thru_hole circle (at -13.97 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 23 thru_hole circle (at -11.43 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 24 thru_hole circle (at -11.43 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 25 thru_hole circle (at -8.89 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 26 thru_hole circle (at -8.89 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 27 thru_hole circle (at -6.35 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 28 thru_hole circle (at -6.35 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 29 thru_hole circle (at -3.81 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 30 thru_hole circle (at -3.81 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 31 thru_hole circle (at -1.27 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 32 thru_hole circle (at -1.27 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 33 thru_hole circle (at 1.27 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 34 thru_hole circle (at 1.27 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 35 thru_hole circle (at 3.81 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 36 thru_hole circle (at 3.81 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 37 thru_hole circle (at 6.35 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 38 thru_hole circle (at 6.35 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 39 thru_hole circle (at 8.89 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 40 thru_hole circle (at 8.89 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 41 thru_hole circle (at 11.43 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 42 thru_hole circle (at 11.43 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 43 thru_hole circle (at 13.97 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 44 thru_hole circle (at 13.97 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 45 thru_hole circle (at 16.51 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 46 thru_hole circle (at 16.51 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 47 thru_hole circle (at 19.05 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 48 thru_hole circle (at 19.05 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 49 thru_hole circle (at 21.59 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 50 thru_hole circle (at 21.59 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 51 thru_hole circle (at 24.13 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 52 thru_hole circle (at 24.13 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 53 thru_hole circle (at 26.67 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 54 thru_hole circle (at 26.67 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 55 thru_hole circle (at 29.21 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 56 thru_hole circle (at 29.21 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 57 thru_hole circle (at 31.75 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 58 thru_hole circle (at 31.75 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 59 thru_hole circle (at 34.29 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 60 thru_hole circle (at 34.29 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 61 thru_hole circle (at 36.83 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 62 thru_hole circle (at 36.83 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 63 thru_hole circle (at 39.37 2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 64 thru_hole circle (at 39.37 -2.54) (size 1.77 1.77) (drill 1.17) (layers *.Cu *.Mask))
(pad 65 thru_hole circle (at -47.445 0) (size 5 5) (drill 3.45) (layers *.Cu *.Mask))
(pad 66 thru_hole circle (at 47.445 0) (size 5 5) (drill 3.45) (layers *.Cu *.Mask))
(model 345-064-520-202.stp
(at (xyz -2.017322900727 -0.18503936256949 -0.016929134139864))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)

View File

@ -0,0 +1,296 @@
(kicad_symbol_lib (version 20211014) (generator SamacSys_ECAD_Model)
(symbol "345-064-520-202" (in_bom yes) (on_board yes)
(property "Reference" "J" (at 19.05 7.62 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(property "Value" "345-064-520-202" (at 19.05 5.08 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
(property "Footprint" "345-064-520-202" (at 19.05 -94.92 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Datasheet" "https://datasheet.datasheetarchive.com/originals/distributors/Datasheets-DGA19/7640.pdf" (at 19.05 -194.92 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "ki_description" "Card Edge Connector 0.100\" (2.54mm) Pitch, 64 positions" (at 19.05 -294.92 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Height" "" (at 19.05 -394.92 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Mouser Part Number" "587-345-064-520-202" (at 19.05 -494.92 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Mouser Price/Stock" "https://www.mouser.co.uk/ProductDetail/EDAC/345-064-520-202?qs=X9HCC1dc6JtZh7ymKam%252BGA%3D%3D" (at 19.05 -594.92 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Manufacturer_Name" "EDAC" (at 19.05 -694.92 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(property "Manufacturer_Part_Number" "345-064-520-202" (at 19.05 -794.92 0)
(effects (font (size 1.27 1.27)) (justify left top) hide)
)
(rectangle
(start 5.08 2.54)
(end 17.78 -81.28)
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin passive line (at 0 0 0) (length 5.08)
(name "1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 0) (length 5.08)
(name "2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -5.08 0) (length 5.08)
(name "3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -7.62 0) (length 5.08)
(name "4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -10.16 0) (length 5.08)
(name "5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -12.7 0) (length 5.08)
(name "6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -15.24 0) (length 5.08)
(name "7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -17.78 0) (length 5.08)
(name "8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -20.32 0) (length 5.08)
(name "9" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -22.86 0) (length 5.08)
(name "10" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -25.4 0) (length 5.08)
(name "11" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -27.94 0) (length 5.08)
(name "12" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -30.48 0) (length 5.08)
(name "13" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -33.02 0) (length 5.08)
(name "14" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -35.56 0) (length 5.08)
(name "15" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -38.1 0) (length 5.08)
(name "16" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -40.64 0) (length 5.08)
(name "17" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -43.18 0) (length 5.08)
(name "18" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -45.72 0) (length 5.08)
(name "19" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -48.26 0) (length 5.08)
(name "20" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -50.8 0) (length 5.08)
(name "21" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -53.34 0) (length 5.08)
(name "22" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -55.88 0) (length 5.08)
(name "23" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -58.42 0) (length 5.08)
(name "24" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -60.96 0) (length 5.08)
(name "25" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -63.5 0) (length 5.08)
(name "26" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -66.04 0) (length 5.08)
(name "27" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -68.58 0) (length 5.08)
(name "28" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -71.12 0) (length 5.08)
(name "29" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -73.66 0) (length 5.08)
(name "30" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -76.2 0) (length 5.08)
(name "31" (effects (font (size 1.27 1.27))))
(number "31" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -78.74 0) (length 5.08)
(name "32" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 0 180) (length 5.08)
(name "33" (effects (font (size 1.27 1.27))))
(number "33" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -2.54 180) (length 5.08)
(name "34" (effects (font (size 1.27 1.27))))
(number "34" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -5.08 180) (length 5.08)
(name "35" (effects (font (size 1.27 1.27))))
(number "35" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -7.62 180) (length 5.08)
(name "36" (effects (font (size 1.27 1.27))))
(number "36" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -10.16 180) (length 5.08)
(name "37" (effects (font (size 1.27 1.27))))
(number "37" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -12.7 180) (length 5.08)
(name "38" (effects (font (size 1.27 1.27))))
(number "38" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -15.24 180) (length 5.08)
(name "39" (effects (font (size 1.27 1.27))))
(number "39" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -17.78 180) (length 5.08)
(name "40" (effects (font (size 1.27 1.27))))
(number "40" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -20.32 180) (length 5.08)
(name "41" (effects (font (size 1.27 1.27))))
(number "41" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -22.86 180) (length 5.08)
(name "42" (effects (font (size 1.27 1.27))))
(number "42" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -25.4 180) (length 5.08)
(name "43" (effects (font (size 1.27 1.27))))
(number "43" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -27.94 180) (length 5.08)
(name "44" (effects (font (size 1.27 1.27))))
(number "44" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -30.48 180) (length 5.08)
(name "45" (effects (font (size 1.27 1.27))))
(number "45" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -33.02 180) (length 5.08)
(name "46" (effects (font (size 1.27 1.27))))
(number "46" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -35.56 180) (length 5.08)
(name "47" (effects (font (size 1.27 1.27))))
(number "47" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -38.1 180) (length 5.08)
(name "48" (effects (font (size 1.27 1.27))))
(number "48" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -40.64 180) (length 5.08)
(name "49" (effects (font (size 1.27 1.27))))
(number "49" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -43.18 180) (length 5.08)
(name "50" (effects (font (size 1.27 1.27))))
(number "50" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -45.72 180) (length 5.08)
(name "51" (effects (font (size 1.27 1.27))))
(number "51" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -48.26 180) (length 5.08)
(name "52" (effects (font (size 1.27 1.27))))
(number "52" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -50.8 180) (length 5.08)
(name "53" (effects (font (size 1.27 1.27))))
(number "53" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -53.34 180) (length 5.08)
(name "54" (effects (font (size 1.27 1.27))))
(number "54" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -55.88 180) (length 5.08)
(name "55" (effects (font (size 1.27 1.27))))
(number "55" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -58.42 180) (length 5.08)
(name "56" (effects (font (size 1.27 1.27))))
(number "56" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -60.96 180) (length 5.08)
(name "57" (effects (font (size 1.27 1.27))))
(number "57" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -63.5 180) (length 5.08)
(name "58" (effects (font (size 1.27 1.27))))
(number "58" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -66.04 180) (length 5.08)
(name "59" (effects (font (size 1.27 1.27))))
(number "59" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -68.58 180) (length 5.08)
(name "60" (effects (font (size 1.27 1.27))))
(number "60" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -71.12 180) (length 5.08)
(name "61" (effects (font (size 1.27 1.27))))
(number "61" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -73.66 180) (length 5.08)
(name "62" (effects (font (size 1.27 1.27))))
(number "62" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -76.2 180) (length 5.08)
(name "63" (effects (font (size 1.27 1.27))))
(number "63" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 -78.74 180) (length 5.08)
(name "64" (effects (font (size 1.27 1.27))))
(number "64" (effects (font (size 1.27 1.27))))
)
)
)

View File

@ -0,0 +1,85 @@
EESchema-LIBRARY Version 2.3
#encoding utf-8
#SamacSys ECAD Model 345-064-520-202
#/950580/1346399/2.50/64/4/Connector
DEF 345-064-520-202 J 0 30 Y Y 1 F N
F0 "J" 750 300 50 H V L CNN
F1 "345-064-520-202" 750 200 50 H V L CNN
F2 "345-064-520-202" 750 100 50 H I L CNN
F3 "https://datasheet.datasheetarchive.com/originals/distributors/Datasheets-DGA19/7640.pdf" 750 0 50 H I L CNN
F4 "Card Edge Connector 0.100\" (2.54mm) Pitch, 64 positions" 750 -100 50 H I L CNN "Description"
F5 "" 750 -200 50 H I L CNN "Height"
F6 "587-345-064-520-202" 750 -300 50 H I L CNN "Mouser Part Number"
F7 "https://www.mouser.co.uk/ProductDetail/EDAC/345-064-520-202?qs=X9HCC1dc6JtZh7ymKam%252BGA%3D%3D" 750 -400 50 H I L CNN "Mouser Price/Stock"
F8 "EDAC" 750 -500 50 H I L CNN "Manufacturer_Name"
F9 "345-064-520-202" 750 -600 50 H I L CNN "Manufacturer_Part_Number"
DRAW
X 1 1 0 0 200 R 50 50 0 0 P
X 2 2 0 -100 200 R 50 50 0 0 P
X 3 3 0 -200 200 R 50 50 0 0 P
X 4 4 0 -300 200 R 50 50 0 0 P
X 5 5 0 -400 200 R 50 50 0 0 P
X 6 6 0 -500 200 R 50 50 0 0 P
X 7 7 0 -600 200 R 50 50 0 0 P
X 8 8 0 -700 200 R 50 50 0 0 P
X 9 9 0 -800 200 R 50 50 0 0 P
X 10 10 0 -900 200 R 50 50 0 0 P
X 11 11 0 -1000 200 R 50 50 0 0 P
X 12 12 0 -1100 200 R 50 50 0 0 P
X 13 13 0 -1200 200 R 50 50 0 0 P
X 14 14 0 -1300 200 R 50 50 0 0 P
X 15 15 0 -1400 200 R 50 50 0 0 P
X 16 16 0 -1500 200 R 50 50 0 0 P
X 17 17 0 -1600 200 R 50 50 0 0 P
X 18 18 0 -1700 200 R 50 50 0 0 P
X 19 19 0 -1800 200 R 50 50 0 0 P
X 20 20 0 -1900 200 R 50 50 0 0 P
X 21 21 0 -2000 200 R 50 50 0 0 P
X 22 22 0 -2100 200 R 50 50 0 0 P
X 23 23 0 -2200 200 R 50 50 0 0 P
X 24 24 0 -2300 200 R 50 50 0 0 P
X 25 25 0 -2400 200 R 50 50 0 0 P
X 26 26 0 -2500 200 R 50 50 0 0 P
X 27 27 0 -2600 200 R 50 50 0 0 P
X 28 28 0 -2700 200 R 50 50 0 0 P
X 29 29 0 -2800 200 R 50 50 0 0 P
X 30 30 0 -2900 200 R 50 50 0 0 P
X 31 31 0 -3000 200 R 50 50 0 0 P
X 32 32 0 -3100 200 R 50 50 0 0 P
X 33 33 900 0 200 L 50 50 0 0 P
X 34 34 900 -100 200 L 50 50 0 0 P
X 35 35 900 -200 200 L 50 50 0 0 P
X 36 36 900 -300 200 L 50 50 0 0 P
X 37 37 900 -400 200 L 50 50 0 0 P
X 38 38 900 -500 200 L 50 50 0 0 P
X 39 39 900 -600 200 L 50 50 0 0 P
X 40 40 900 -700 200 L 50 50 0 0 P
X 41 41 900 -800 200 L 50 50 0 0 P
X 42 42 900 -900 200 L 50 50 0 0 P
X 43 43 900 -1000 200 L 50 50 0 0 P
X 44 44 900 -1100 200 L 50 50 0 0 P
X 45 45 900 -1200 200 L 50 50 0 0 P
X 46 46 900 -1300 200 L 50 50 0 0 P
X 47 47 900 -1400 200 L 50 50 0 0 P
X 48 48 900 -1500 200 L 50 50 0 0 P
X 49 49 900 -1600 200 L 50 50 0 0 P
X 50 50 900 -1700 200 L 50 50 0 0 P
X 51 51 900 -1800 200 L 50 50 0 0 P
X 52 52 900 -1900 200 L 50 50 0 0 P
X 53 53 900 -2000 200 L 50 50 0 0 P
X 54 54 900 -2100 200 L 50 50 0 0 P
X 55 55 900 -2200 200 L 50 50 0 0 P
X 56 56 900 -2300 200 L 50 50 0 0 P
X 57 57 900 -2400 200 L 50 50 0 0 P
X 58 58 900 -2500 200 L 50 50 0 0 P
X 59 59 900 -2600 200 L 50 50 0 0 P
X 60 60 900 -2700 200 L 50 50 0 0 P
X 61 61 900 -2800 200 L 50 50 0 0 P
X 62 62 900 -2900 200 L 50 50 0 0 P
X 63 63 900 -3000 200 L 50 50 0 0 P
X 64 64 900 -3100 200 L 50 50 0 0 P
P 5 0 1 6 200 100 700 100 700 -3200 200 -3200 200 100 N
ENDDRAW
ENDDEF
#
#End Library

View File

@ -0,0 +1,489 @@
PCBNEW-LibModule-V1 2024-05-25 18:31:02
# encoding utf-8
Units mm
$INDEX
345-064-520-202
$EndINDEX
$MODULE 345-064-520-202
Po 0 0 0 15 66522056 00000000 ~~
Li 345-064-520-202
Cd 345-064-520-202
Kw Connector
Sc 0
At STD
AR
Op 0 0 0
T0 -0.314 0.104 1.27 1.27 0 0.254 N V 21 N "J**"
T1 -0.314 0.104 1.27 1.27 0 0.254 N I 21 N "345-064-520-202"
DS -51.245 -4.7 51.245 -4.7 0.2 24
DS 51.245 -4.7 51.245 4.7 0.2 24
DS 51.245 4.7 -51.245 4.7 0.2 24
DS -51.245 4.7 -51.245 -4.7 0.2 24
DS -51.245 4.7 -51.245 -4.7 0.2 21
DS -51.245 -4.7 51.245 -4.7 0.2 21
DS 51.245 -4.7 51.245 4.7 0.2 21
DS 51.245 4.7 -51.245 4.7 0.2 21
$PAD
Po -39.37 2.54
Sh "1" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -39.37 -2.54
Sh "2" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -36.83 2.54
Sh "3" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -36.83 -2.54
Sh "4" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -34.29 2.54
Sh "5" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -34.29 -2.54
Sh "6" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -31.75 2.54
Sh "7" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -31.75 -2.54
Sh "8" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -29.21 2.54
Sh "9" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -29.21 -2.54
Sh "10" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -26.67 2.54
Sh "11" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -26.67 -2.54
Sh "12" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -24.13 2.54
Sh "13" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -24.13 -2.54
Sh "14" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -21.59 2.54
Sh "15" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -21.59 -2.54
Sh "16" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -19.05 2.54
Sh "17" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -19.05 -2.54
Sh "18" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -16.51 2.54
Sh "19" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -16.51 -2.54
Sh "20" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -13.97 2.54
Sh "21" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -13.97 -2.54
Sh "22" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -11.43 2.54
Sh "23" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -11.43 -2.54
Sh "24" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -8.89 2.54
Sh "25" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -8.89 -2.54
Sh "26" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -6.35 2.54
Sh "27" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -6.35 -2.54
Sh "28" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -3.81 2.54
Sh "29" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -3.81 -2.54
Sh "30" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -1.27 2.54
Sh "31" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -1.27 -2.54
Sh "32" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 1.27 2.54
Sh "33" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 1.27 -2.54
Sh "34" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 3.81 2.54
Sh "35" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 3.81 -2.54
Sh "36" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 6.35 2.54
Sh "37" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 6.35 -2.54
Sh "38" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 8.89 2.54
Sh "39" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 8.89 -2.54
Sh "40" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 11.43 2.54
Sh "41" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 11.43 -2.54
Sh "42" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 13.97 2.54
Sh "43" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 13.97 -2.54
Sh "44" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 16.51 2.54
Sh "45" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 16.51 -2.54
Sh "46" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 19.05 2.54
Sh "47" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 19.05 -2.54
Sh "48" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 21.59 2.54
Sh "49" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 21.59 -2.54
Sh "50" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 24.13 2.54
Sh "51" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 24.13 -2.54
Sh "52" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 26.67 2.54
Sh "53" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 26.67 -2.54
Sh "54" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 29.21 2.54
Sh "55" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 29.21 -2.54
Sh "56" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 31.75 2.54
Sh "57" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 31.75 -2.54
Sh "58" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 34.29 2.54
Sh "59" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 34.29 -2.54
Sh "60" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 36.83 2.54
Sh "61" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 36.83 -2.54
Sh "62" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 39.37 2.54
Sh "63" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 39.37 -2.54
Sh "64" C 1.77 1.77 0 0 900
Dr 1.17 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po -47.445 0
Sh "65" C 5 5 0 0 900
Dr 3.45 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$PAD
Po 47.445 0
Sh "66" C 5 5 0 0 900
Dr 3.45 0 0
At STD N 00E0FFFF
Ne 0 ""
$EndPAD
$EndMODULE 345-064-520-202
$EndLIBRARY

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,946 @@
(kicad_sch
(version 20231120)
(generator "eeschema")
(generator_version "8.0")
(uuid "29e046f8-59b3-4614-b988-88d6345342bb")
(paper "A")
(title_block
(title "Sentinel 65X")
(date "2024-05-24")
(rev "5")
(company "Studio 8502")
)
(lib_symbols
(symbol "Sentinel 65X Prototype 5:SD_Card"
(pin_names
(offset 1.016)
)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "J7"
(at -19.558 13.716 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Value" "SD_Card"
(at 2.54 -11.43 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" "Connector_Card:SD_Hirose_DM1AA_SF_PEJ82"
(at -4.064 27.686 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "http://portal.fciconnect.com/Comergent//fci/drawing/10067847.pdf"
(at -4.064 27.686 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "SD Card Reader"
(at -4.064 27.686 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "JLCPCB Part #" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Manufacturer" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "MFR.Part #" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Mouser Part #" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Digi-Key Part #" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_keywords" "connector SD"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_fp_filters" "SD*"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "SD_Card_0_1"
(rectangle
(start -21.59 12.7)
(end 6.35 -10.16)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
)
(symbol "SD_Card_1_1"
(pin input line
(at -24.13 7.62 0)
(length 2.54)
(name "CD/DAT3"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "~{CS}" input inverted)
)
(pin input line
(at -24.13 5.08 0)
(length 2.54)
(name "CMD"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "2"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "MOSI" input line)
)
(pin power_in line
(at -12.7 -12.7 90)
(length 2.54)
(name "GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "3"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin power_in line
(at -7.62 15.24 270)
(length 2.54)
(name "VDD"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "4"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at -24.13 0 0)
(length 2.54)
(name "CLK"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "5"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "SCK" input clock)
)
(pin power_in line
(at -10.16 -12.7 90)
(length 2.54)
(name "GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "6"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at -24.13 2.54 0)
(length 2.54)
(name "DAT0"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "7"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "MISO" output line)
)
(pin input line
(at -24.13 -2.54 0)
(length 2.54)
(name "DAT1"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "8"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "NC" no_connect line)
)
(pin input line
(at -24.13 -5.08 0)
(length 2.54)
(name "DAT2"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "9"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "NC" no_connect line)
)
(pin passive line
(at 8.89 2.54 180)
(length 2.54)
(name "CARD_DETECT"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "CP"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at -2.54 -12.7 90)
(length 2.54)
(name "SHELL"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "SH"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin passive line
(at -5.08 -12.7 90)
(length 2.54)
(name "SWITCH"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "SW"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin passive line
(at 8.89 0 180)
(length 2.54)
(name "WRITE PROTECT"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "WP"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
)
(symbol "power:+3.3V"
(power)
(pin_numbers hide)
(pin_names
(offset 0) hide)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "#PWR"
(at 0 -3.81 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Value" "+3.3V"
(at 0 3.556 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Power symbol creates a global label with name \"+3.3V\""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_keywords" "global power"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "+3.3V_0_1"
(polyline
(pts
(xy -0.762 1.27) (xy 0 2.54)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0 0) (xy 0 2.54)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0 2.54) (xy 0.762 1.27)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
)
(symbol "+3.3V_1_1"
(pin power_in line
(at 0 0 90)
(length 0)
(name "~"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
)
(symbol "power:GND"
(power)
(pin_numbers hide)
(pin_names
(offset 0) hide)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "#PWR"
(at 0 -6.35 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Value" "GND"
(at 0 -3.81 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Power symbol creates a global label with name \"GND\" , ground"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_keywords" "global power"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
)
(symbol "GND_1_1"
(pin power_in line
(at 0 0 270)
(length 0)
(name "~"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
)
)
(junction
(at 199.39 110.49)
(diameter 0)
(color 0 0 0 0)
(uuid "1f8babef-91c8-4025-9a09-d8dbdd3bb3ca")
)
(no_connect
(at 186.69 101.6)
(uuid "6535c5b3-1b08-459c-9c1d-66e5097fbadf")
)
(no_connect
(at 186.69 99.06)
(uuid "8246f2df-dd31-4e95-8f44-714ead4bea47")
)
(wire
(pts
(xy 198.12 109.22) (xy 198.12 110.49)
)
(stroke
(width 0)
(type default)
)
(uuid "19c341ac-8f4c-4c2e-b67a-df29d87de38b")
)
(wire
(pts
(xy 199.39 110.49) (xy 199.39 111.76)
)
(stroke
(width 0)
(type default)
)
(uuid "5565f527-0c66-4998-9ea9-0ce553ef8df7")
)
(wire
(pts
(xy 198.12 110.49) (xy 199.39 110.49)
)
(stroke
(width 0)
(type default)
)
(uuid "74388e0e-0701-4ff7-bf99-b2748c44d4ba")
)
(wire
(pts
(xy 200.66 109.22) (xy 200.66 110.49)
)
(stroke
(width 0)
(type default)
)
(uuid "d6e78a0b-2728-45b5-af16-730c7a546262")
)
(wire
(pts
(xy 199.39 110.49) (xy 200.66 110.49)
)
(stroke
(width 0)
(type default)
)
(uuid "e20901d3-7479-4d93-a0cf-c0dd22f25784")
)
(symbol
(lib_id "power:+3.3V")
(at 203.2 81.28 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(uuid "3ba645db-3a15-46fb-a885-13ee84f3b48d")
(property "Reference" "#PWR022"
(at 203.2 85.09 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Value" "+3.3V"
(at 202.946 77.724 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 203.2 81.28 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 203.2 81.28 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Power symbol creates a global label with name \"+3.3V\""
(at 203.2 81.28 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "1bb8d0ae-1df9-4725-ae7d-5926ce2b873a")
)
(instances
(project "Prototype 5 (SMD)"
(path "/240caaec-7791-45cf-9318-49fc921b1fd0/ed3534dc-ff13-4a7a-90c8-c7533f437715"
(reference "#PWR022")
(unit 1)
)
)
)
)
(symbol
(lib_id "Sentinel 65X Prototype 5:SD_Card")
(at 210.82 96.52 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(uuid "9df17cbd-93d1-4995-95b4-3af95f6d2346")
(property "Reference" "J7"
(at 190.314 82.8334 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Value" "SD Card"
(at 213.614 107.696 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" "Connector_Card:SD_Hirose_DM1AA_SF_PEJ82"
(at 206.756 68.834 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "http://portal.fciconnect.com/Comergent//fci/drawing/10067847.pdf"
(at 206.756 68.834 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "SD Card Reader"
(at 206.756 68.834 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "JLCPCB Part #" ""
(at 210.82 96.52 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Manufacturer" ""
(at 210.82 96.52 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "MFR.Part #" ""
(at 210.82 96.52 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Mouser Part #" ""
(at 210.82 96.52 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Digi-Key Part #" ""
(at 210.82 96.52 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "9"
(uuid "c8deacc3-dfb5-4bc9-b07a-42d928688de3")
(alternate "NC")
)
(pin "SH"
(uuid "646885b1-0a8e-4786-ba3f-7c1fd482098d")
)
(pin "2"
(uuid "bbccb4ab-7452-44c4-8fec-aa511ac92dc6")
(alternate "MOSI")
)
(pin "5"
(uuid "fdabfdf5-2d07-4849-bdf2-47946ac046a7")
(alternate "SCK")
)
(pin "8"
(uuid "7a4a1433-7db5-4b2b-84f0-55dc83882193")
(alternate "NC")
)
(pin "1"
(uuid "310936db-efa3-43e2-9583-1bdf9273c934")
(alternate "~{CS}")
)
(pin "CP"
(uuid "d274a9f7-d01a-4c67-a040-7e432e3e1d6f")
)
(pin "WP"
(uuid "dc4f7aec-ee2e-4bef-bd41-19bc109e48df")
)
(pin "4"
(uuid "bb836480-81ec-4801-8cb9-cf1a699ed228")
)
(pin "6"
(uuid "36e0b5b3-8a70-4367-971c-8b215b4f790f")
)
(pin "7"
(uuid "793edae4-41d9-4c7e-ace8-f47cdc276e56")
(alternate "MISO")
)
(pin "3"
(uuid "0b4a5949-32c0-4107-94d0-a5f466c7564b")
)
(pin "SW"
(uuid "c188071d-74d3-45bf-9cc7-b64d34782a47")
)
(instances
(project "Prototype 5 (SMD)"
(path "/240caaec-7791-45cf-9318-49fc921b1fd0/ed3534dc-ff13-4a7a-90c8-c7533f437715"
(reference "J7")
(unit 1)
)
)
)
)
(symbol
(lib_id "power:GND")
(at 199.39 111.76 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(uuid "c048f85d-94c3-4c87-954b-cd7f37dad9c3")
(property "Reference" "#PWR023"
(at 199.39 118.11 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Value" "GND"
(at 199.39 115.316 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 199.39 111.76 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 199.39 111.76 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Power symbol creates a global label with name \"GND\" , ground"
(at 199.39 111.76 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "0046ad35-270b-45b5-8a7d-8b0087d4dac0")
)
(instances
(project "Prototype 5 (SMD)"
(path "/240caaec-7791-45cf-9318-49fc921b1fd0/ed3534dc-ff13-4a7a-90c8-c7533f437715"
(reference "#PWR023")
(unit 1)
)
)
)
)
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

Some files were not shown because too many files have changed in this diff Show More